Welcome![Sign In][Sign Up]
Location:
Search - DDR SDRAM

Search list

[Embeded-SCM Developref-ddr-sdram-vhdl.zip

Description:
Platform: | Size: 1031343 | Author: | Hits:

[Other resourceref-sdr-sdram-vhdl

Description: DDR控制器的VHDL源代码.采用FPGA实现DDR接口控制器,适用于Altera的FPGA,最高频率可到100M-DDR controller VHDL source code. Using FPGA DDR interface controller, applicable to Altera FPGA, the highest frequency available 100M
Platform: | Size: 776642 | Author: 张涛 | Hits:

[File OperateDDR_SDRAM_use_in_embedded

Description: 很多嵌入式系统,特别是应用于图像处理与高速数据采集等场合的嵌入式系统,都需要高速缓存大量的数据。DDR(Double Data Rate,双数据速率)SDRAM由于其速度快、容量大,而且价格便宜,因此能够很好地满足上述场合对大量数据缓存的需求。但DDR SDRAM的接口不能直接与现今的微处理器和DSP的存储器接口相连,需要在其间插入控制器实现微处理器或DSP对存储器的控制。-many embedded systems, especially for image processing and high-speed data acquisition, and so on the embedded system, Cache require large amounts of data. DDR (Double Data Rate, double-data rate) SDRAM due to its speed, large capacity, and their prices are cheaper, it can be a very good occasion to meet these massive data cache demand. But DDR SDRAM interface directly with today's microprocessor and DSP memory interface connected, During the need to insert controller microprocessor or DSP memory of the control.
Platform: | Size: 237546 | Author: joucan | Hits:

[Othermemtest86+-1.30.tar

Description: ddr and sdram memory check,ddr and sdram memory check-ddr sdram memory and check, ddr sdram memory check and
Platform: | Size: 136002 | Author: wangdong | Hits:

[Other resourceDDRSDRAM

Description: DDR sdram 包含的完整的源码,仿真的相关文件
Platform: | Size: 1021984 | Author: 飞翔 | Hits:

[Other resourceddr_ctrl

Description: verilog hdl coding DDR sdram control for fpga
Platform: | Size: 27946 | Author: 王郁 | Hits:

[Other resourceDDRSDRAM

Description: 基于FPGA 实现DDR SDRAM的控制器
Platform: | Size: 474402 | Author: 张宁 | Hits:

[Other resourceu-boot-1.2.0-MPC5200Bpro-20070422

Description: 移植好的支持MPC5200B处理器和DDR SDRAM以及PCF8563实时时钟和SM712显卡启动的u-boot源代码
Platform: | Size: 9977110 | Author: XueTao | Hits:

[Other resourcet26a_ibis

Description: ddr sdram 的控制代码,采用VHDL语言书写
Platform: | Size: 282097 | Author: zxb | Hits:

[Communication-MobileSmallCore_SDRAM

Description: MagicSopc DDR-Sdram
Platform: | Size: 576367 | Author: Rainy | Hits:

[Other resourceDDR_SDRAM_DesignSummarize

Description: 基于Xilinx Spartan系列开发板的DDR SDRAM设计方案及经验总结!
Platform: | Size: 339098 | Author: 曾娟丽 | Hits:

[File Operate完全硬件手册

Description: RAM(Random Access Memory)随机存取存储器对于系统性能的影响是每个PC用户都非常清楚的,所以很多朋友趁着现在的内存价格很低纷纷扩容了内存,希望借此来得到更高的性能。不过现在市场是多种内存类型并存的,SDRAM、DDR SDRAM、RDRAM等等,如果你使用的还是非常古老的系统,可能还需要EDO DRAM、FP DRAM(块页)等现在不是很常见的内存-RAM (Random Access Memory) random access memory system performance for the impact of each PC users are very clear, so many of my friends now take advantage of the low prices have memory expansion memory, with a view to get higher performance. But now the market is a mixture of both types of memory, SDRAM, DDR SDRAM, RDRAM, etc. If you use or the very old, may also need to EDO DRAM, FP DRAM (block pages) is now is not very common memory
Platform: | Size: 1052968 | Author: 周卫成 | Hits:

[SourceCodexilinx1

Description:
Platform: | Size: 679075 | Author: wufengffp@126.com | Hits:

[VHDL-FPGA-Verilog400-Mbs-DDR-Controller

Description: 这个应用描述了怎样在Xilinx环境下,通过MIG实现DDR控制器-Synthesizable 400 Mbs DDR SDRAM Controller
Platform: | Size: 290816 | Author: 吴言 | Hits:

[VHDL-FPGA-VerilogDDR-with-CoolRunner-II

Description: 详细讲解了CoolRunner II CPLD与DDR SDRAM的接口设计-Explained in detail about the design of the CoolRunner II CPLDs and DDR SDRAM interface
Platform: | Size: 368640 | Author: yanghengxu | Hits:

[Windows Developtreff-ddr-sdrh

Description: 本程序源码是DDR SDRAM控制器的VHDL程序源源码,由ALTERA 提供 -The program source code is DDR SDRAM controller VHDL source source code provided by ALTERA
Platform: | Size: 439296 | Author: wyq52103 | Hits:

[VHDL-FPGA-Verilogddr-sdram

Description: It is complete document for DDR SD RAM program in verilog hdl
Platform: | Size: 897024 | Author: srikanth | Hits:

[VHDL-FPGA-Verilogmicron-lpddr-sdram-lpddr_model

Description: modelsim,micron公司的ddr sdram仿真模型,verilog。-modelsim,micron,ddr sdram simulat module,verilog。
Platform: | Size: 40960 | Author: 黄志沛 | Hits:

[VHDL-FPGA-Verilogddr

Description: this file contain sources code for ddr sdram
Platform: | Size: 6868992 | Author: mohamed | Hits:

[VHDL-FPGA-Verilogddr_sdram

Description: 包含ddr_sdr_conf_pkg.vhd,reset.vhd,ddr_dcm.vhd,user_if.vhd,ddr_sdram.vhd,Mt46v16m16.vhd以及仿真TB文件;设计采用Virtex ii系列芯片,DDR_SDRAM型号为Mt46v16m16,可用于进行DDR控制的初步学习使用;通过细致了解并进行逻辑控制,可深入理解DDR芯片内部构造; 支持133MHz系统时钟频率,突发长度为2,可进行读、写、NOP、激活、自刷新配置、预充电以及各ROW/BANK的激活改变等动作,较适合DDR入门使用(Including the ddr_sdr_conf_pkg.vhd, reset.vhd, ddr_dcm.vhd, user_if.vhd, ddr_sdram.vhd, Mt46v16m16.vhd and simulation TB files; designed with Virtex ii series chips, DDR_SDRAM model for the Mt46v16m16, can be used for initial control of DDR control ; Through careful understanding and logic control, in-depth understanding of DDR chip internal structure; Support 133MHz system clock frequency, burst length of 2, can be read, write, NOP, activation, self-refresh configuration, pre-charge and the activation of the ROW / BANK change action, more suitable for DDR entry)
Platform: | Size: 20480 | Author: 唛侬 | Hits:
« 1 2 3 4 5 67 8 9 10 »

CodeBus www.codebus.net